LINUX.ORG.RU

Altera научит FPGA «понимать» OpenCL

 , , ,


0

2

Известный производитель микросхем программируемой логики, компания Altera, представила общественности проект по созданию инструмента, позволяющего исполнять на FPGA код, написанный для OpenCL. OpenCL является основанным на C открытым стандартом для параллельного программирования. В настоящее время он активно используется для переноса на графические карты вычислений, требующих высокой производительности. Интерес к графическим картам был вызван в первую очередь тем, что применяемые в них процессоры способны одновременно выполнять большое число операций, что позволяет достичь колоссальной производительности на хорошо распараллеливаемых задачах. Эти же соображения подтолкнули разработчиков обратить внимание на FPGA. Altera стала членом рабочей группы по OpenCL в январе прошлого года.

Анонсированный инструмент поддерживает системы, сочетающие программируемую логику с ARM или x86 процессорами. Первые результаты применения этой технологии показали 35-кратный прирост производительности в сравнении с классическими многоядерными решениями и пятидесятипроцентное уменьшение времени разработки в сравнении с разработкой на HDL.

Сайт проекта

Анонс

>>> Подробности

★★

Проверено: DoctorSinus ()
Последнее исправление: prozium (всего исправлений: 4)

Ответ на: комментарий от CYB3R

Еще она работает на OpenGL, быстрая реализация которого на любом оборудовании намного проще, чем OpenCL.

Вообще не видел информации об этом, может там только физика портирована на opencl? Все-таки вещь довольно специфичная (как и fpga, кстати).

note173 ★★★★★
()
Ответ на: комментарий от ZenitharChampion

на сайте производителя

там еще и посчитать можно температуру камня с учетом сколько lut/memory blocks будут орудовать и с какой частотой.

ckotinko ☆☆☆
()
Ответ на: комментарий от ckotinko

Значит на форуме соврали. :-( Хорошо что ты сказал, а то так бы купил.

ZenitharChampion ★★★★★
()

Зачем? ОпенЦЛ же был придуман, чтобы задействовать вычисления общего назначения на видеочипах. которые для этого не предназначены. А в плисы можно зашить столько АЛУ, сколько нужно.

prischeyadro ★★★☆☆
()

А, нашёл.

пятидесятипроцентное уменьшение времени разработки в сравнении с разработкой на HDL.

Наверное, лучше было бы совершенствовать HDL и методы разработки, а не городить интерпретаторы и слои абстракции.

prischeyadro ★★★☆☆
()

Скажите, кто разбирается. Правильно ли я понимаю, что такое программируемая плата. Т.е. получается, что любой её купивший и напрограммировавший на verilog, может в домашних условиях залить свои художества на железку? Т.е. фактически делать свои железки?

ttnl ★★★★★
()
Ответ на: комментарий от ttnl

Yeap. You can buy a simple one (dev kit + board itself) for like $150 for Xilinx. I have no idea what the hell OpenCL on FPGA is for.. these are two totally different things solving different problems... Altera sucks at FPGAs tho.. so does this decision.

anonymous
()
Ответ на: комментарий от prischeyadro

опенцл был создан чтобы считать что угодно на чём угодно и всё это масштабировать

Thero ★★★★★
()
Ответ на: комментарий от ttnl

Ага, фактически FPGA, это тебе фарш из кучи триггеров, логики и плавающих в этой куче блочков типа готовых перемножителей, pll'ек, dcm,ок, буферов и прочего, которые ты можеш произвольным образом скоммутировать. Очень полезно при отладки железки перед тем, как отдать на фабрику и написания дров под чип, который даже не вышел.

AiFiLTr0 ★★★★★
()

Через RSS не всегда видно кавычки, а видно "

anonymous
()
Ответ на: комментарий от AiFiLTr0

И да, не знаю как обстоят у альтеры дела, но с Xilinx'ом геморроя хватает. Открути синтез от гуи - получи +50% скорости синтеза.

AiFiLTr0 ★★★★★
()
Ответ на: комментарий от prischeyadro

Наверное, лучше было бы совершенствовать HDL и методы разработки, а не городить интерпретаторы и слои абстракции.

Имхо лучше уж один открытый стандарт для всего, чем свой велосипед со своим языком у каждого производителя.

kranky ★★★★★
()

> Известный производитель микросхем программируемой логики, компания Altera, представила общественности проект по созданию инструмента, позволяющего исполнять на FPGA код, написанный для OpenCL.

Заголовок веселее. «Altera научит FPGA понимать OpenCL». FPGA понимающе покачает головой и скажет «о, это OpenCL. Я знаю этот синтаксис. К сожалению, я не могу его исполнять».

ZenitharChampion ★★★★★
()

ААААА... Я бы не стал доверять этому «продукту», ибо даже от их IDE тошнит... Представляю, что тут внутри творится....

Rubbiroid
()

Следующим анонсом должен стать выпуск плат для числодробилок. Типа тех, которые выпускает nvidia. Так, что ждем тестов и сравнения с gpu.

b_a
()
Ответ на: комментарий от CYB3R

А FPGA - новомодная замена интегральным схемам, нэ?

во-первых FPGA - это интегральная схема

во-вторых FPGA никогда не будет ни быстрее, ни энергоэффективнее видюхи в этой задаче

registrant ★★★★★
()
Ответ на: комментарий от AiFiLTr0

Открути синтез от гуи - получи +50% скорости синтеза.

та же фигня. но гуй редко нужен

registrant ★★★★★
()
Ответ на: комментарий от registrant

во-вторых FPGA никогда не будет ни быстрее, ни энергоэффективнее видюхи в этой задаче

В какой задаче?

mv ★★★★★
()
Ответ на: комментарий от mv

да вот эти параллельные вычисления. особенно, если алгоритм на плавучке. при прочих равных FPGA будет медленнее и жрать больше энергии, чем ASIC. FPGA даст выигрыш в реалтайме

registrant ★★★★★
()
Ответ на: комментарий от registrant

да вот эти параллельные вычисления. особенно, если алгоритм на плавучке. при прочих равных FPGA будет медленнее и жрать больше энергии, чем ASIC. FPGA даст выигрыш в реалтайме

Ну надо уточнять, что за плавучка конкретно считаться будет. У девайса с памятью, к которой нужно ходить через внешнюю шину, мягко говоря, есть нюансы :) К тому же, сколько той памяти в видеокарте? Один-два гигабайта, не густо. А к FPGA можно QDR пришлёпать, у которой латентность от DDR на порядки отличается...

mv ★★★★★
()
Ответ на: комментарий от mv

Ну надо уточнять, что за плавучка конкретно считаться будет.

думаю на любой - задержки из-за коммутируемого интерконнекта никуда не денутся. так что АЛУ-шки по-любому будут медленнее по тактовой и больше жрать при одинаковом техпроцессе.

У девайса с памятью, к которой нужно ходить через внешнюю шину, мягко говоря, есть нюансы :)

ну да, я это и имел в виду под реалтаймом. можно, конечно, задачу поставить так, что задержки на шине будут узким местом.

есть и еще один нюансик - FPGA сильно дороже в изготовлении, чем серийный чип. я не говорю, что проект не взлетит, просто тут в теме многие проявили необоснованный энтузиазм

registrant ★★★★★
()
Ответ на: комментарий от Rzhepish

ты их серии сравни с массовыми чипами. FPGA для прототипов и мелких серий, никто на них десятками тысяч не штампует.

registrant ★★★★★
()
Ответ на: комментарий от Rzhepish

всё то, что предшествует сериям

не все ведь идет в серию. что-то будет жить мелкой серией. можно модернизировать старые устройства. я выше не совсем корректно выразился. сами-то чипы FPGA, конечно, серийные. но устройства на их базе - нет.

registrant ★★★★★
()
Ответ на: комментарий от registrant

сами-то чипы FPGA, конечно, серийные. но устройства на их базе - нет.

Чего это нет? Вполне себе шлёпают достаточно серийные устройства с достаточно немелкими FPGA типа 3-го Циклона.

mv ★★★★★
()
Ответ на: комментарий от mv

достаточно серийные устройства

достаточно серийные имеет смысл переводить на ASIC. считать, конечно, надо. а мелкие серии - конечно, я про это и писал.

registrant ★★★★★
()

спасибо

спасибо за интересную и познавательную информацию давно её искал

igor97
()
Ответ на: комментарий от registrant

Можно, кстати вполне, иногда planahead'ом пользоваться. Удобно по быстрому констрейнты расставить и ноги плиса. Но ISE говно первостатейное. Даже 13й.

AiFiLTr0 ★★★★★
()
Ответ на: комментарий от registrant

Убогая подсветка синтаксиса, нет плюшек в виде автодополнения, генерации кода для components. Копи/Паст между вкладками иногда творит чудеса (вставляет не в ту вкладку).

Rubbiroid
()

что касается новости - крутобл! а Xilinx не хочет нанести контр-удар? они готовят что-нибудь подобное?

это лишь анонс, не очень представляю структуру будущего чуда в деталях

в общем, это можно сказать прогресс, сейчас экзотика - завтра норма

I-Love-Microsoft ★★★★★
()
Ответ на: комментарий от hobbit

Ну вообще, вся вкусняшка в возможности собрать приложение под windows, mac os, андроид и ios из одного кода. Еще — в поддержке разработки на с++, c#, js, чем-то еще.саратовом. Кроме этого есть редактор, в котором удобно скомпоновать сцену и в один клик сгенерировать лайтмэпы.

При этом довольно гибкое лицензирование, бесплатная версия для начала разработки (можно пользоваться, но выпускать готовый продукт нельзя) и вменяемые цены, которые могут себе позволить даже инди разработчики.

note173 ★★★★★
()
Ответ на: комментарий от ttnl

да, именно так, т.е. ты можешь придумывать свой ttnl-entuim или ttnl-i7-duo и тут же его тестировать дома, потом оно может быть изготовлено в виде серийной микросхемы, но это конечно совсем мечты

смотри OpenRISC, ты его и на плате можешь влепить, и есть «готовые» реализации

пытался на простом языке писать, криво получилось :)

I-Love-Microsoft ★★★★★
()
Ответ на: комментарий от mv

К тому же, сколько той памяти в видеокарте? Один-два гигабайта, не густо

а как с этим у встроенных? Теоретически никто не мешает хоть сотню гигабайт воткнуть. Правда скорость вызывает сомнения

nu11 ★★★★★
()
Вы не можете добавлять комментарии в эту тему. Тема перемещена в архив.