LINUX.ORG.RU
ФорумTalks

Цифровая схемотехника и архитектура компьютера на русском

 , , , ,


1

0

http://panchul.livejournal.com/469643.html
http://habrahabr.ru/post/259505/

Господа! Я рад сообщить, что наконец-то все желающие могут загрузить бесплатный учебник на более чем 1600 страниц, над переводом которого работало более полусотни человек из ведущих университетов, институтов и компаний России, Украины, США и Великобритании. Это был реально народный проект и пример международной кооперации.

Учебник Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера», второе издание, 2012, сводит вместе миры программного обеспечения и аппаратуры, являясь одновременно введением и в разработку микросхем, и в низкоуровневое программирование для студентов младших курсов. Этот учебник превосходит более ранний вводный учебник «Архитектура компьютера и проектирование компьютерных систем» от Дэвида Паттерсона и Джона Хеннесси, причем соавтор предыдущего учебника Дэвид Паттерсон сам рекомендовал учебник от Харрисов как более продвинутый. Следуя новому учебнику, студенты строят реализацию подмножества архитектуры MIPS, используя платы с ПЛИС / FPGA, после чего сравнивают эту реализацию с индустриальными микроконтроллерами Microchip PIC32. Таким образом вводится вместе схемотехника, языки описания аппаратуры Verilog и VHDL, архитектура компьютера, микроархитектура (организация процессорного конвейера) и программирование на ассемблере — в общем все, что находится между физикой и высокоуровневым программированием.

И еще новость в тему: исходники промышленного процессора PIC32MZ с архитектурой MIPS для запуска на ПЛИС на языке Верилог будут доступны образовательным учреждениям благодаря программе MIPSfpga.

★★★★★

Последнее исправление: bender (всего исправлений: 1)

Ответ на: комментарий от Eddy_Em

Они еще недавно объявили о связанной образовательной инициативе с MIPSfpga - исходники промышленного процессора MIPS для запуска на ПЛИСе, основанной на ядре PIC32MZ, который вполне себе свежий и, считается, неплохой в классе контроллеров.

bender ★★★★★
() автор топика
Ответ на: комментарий от bender

Эх, ПЛИСины — интересная отрасль. Жаль, стоимость у них бешеная, просто так на поиграться не купишь (в отличие от всяких мелкоконтроллеров).

Eddy_Em ☆☆☆☆☆
()
Ответ на: комментарий от joy4eg

bender и joy4eg большое вам спасибо.
Сделал торрент и закинул к себе в трансмиссию

magnet:?xt=urn:btih:e64569e7ca3f678f2d8d27064529a17a236da761&dn=digital-design-and-computer-architecture-russian-translation

torvn77 ★★★★★
()

А зачем тратить время умных людей на перевод технической литературы на рашкинский? Не можешь в английский == не можешь в профессию, до свидания.

DELIRIUM ☆☆☆☆☆
()

Круто, у меня есть борда со спартаном 2007го года, давно хотел поплотнее этим заняться, а то только один раз чего-то под неё написал и загрузил и то в чисто в образовательных целях.

ViTeX ★★★★
()
Ответ на: комментарий от prischeyadro

По-английски лень читать, хотя проблем с этим практически нет. Конечно, если перевод не от Меджика Гуди.

ViTeX ★★★★
()
Ответ на: комментарий от DELIRIUM

Чтобы последнее равенство перестало выполняться.

dn2010 ★★★★★
()
Ответ на: комментарий от SystemD-hater

Попробуй в коменты или в личку жж

bender ★★★★★
() автор топика
Ответ на: комментарий от DELIRIUM

время умных людей

Сам спросил, сам ответил. Умным людям, очевидно, виднее, на что тратить своё время с умом.

bender ★★★★★
() автор топика
Ответ на: комментарий от Eddy_Em

Eddy_Em> Ну, хоть не атмели

Чем тебе Intel не угодил?

Quasar ★★★★★
()
Ответ на: комментарий от Eddy_Em

Стоимость у них не бешеная. На том же алиэкспрессе плата с ПЛИС стоит немного дороже, чем плата с STM32. Обвязка победнее, но зачем она нужна? Самая дорогая часть - прошивальщик.

Quasar ★★★★★
()
Ответ на: комментарий от Quasar

На том же алиэкспрессе плата с ПЛИС стоит немного дороже

В 2-5 раз.

Самая дорогая часть - прошивальщик.

Угу. И непонятно, заведется ли все это под линуксом. Скажем, взял я как-то на ибее клон J-link'а, а эта собака в линуксе работать отказалась! Вот такие пироги...

Eddy_Em> Ну, хоть не атмели
Чем тебе Intel не угодил?

Не понял связи.

Eddy_Em ☆☆☆☆☆
()
Ответ на: комментарий от Eddy_Em

Угу. И непонятно, заведется ли все это под линуксом. Скажем, взял я как-то на ибее клон J-link'а, а эта собака в линуксе работать отказалась! Вот такие пироги...

Берется ft232h с еепромом и прикидывается digilent'ом за 60 бакинских. Прекрасно работает под линуксом.

Простенькие платки можно взять у индусов, например. Если жаба не давит - годная плата на совсем современном FPGA. Академическая цена вообще норм.

Kosyak ★★★★
()
Ответ на: комментарий от Quasar

А можно выжимку? Аж самому интересно, нафиг было тратить время на перевод...

Eddy_Em ☆☆☆☆☆
()
Ответ на: комментарий от Eddy_Em

Угу. И непонятно, заведется ли все это под линуксом.

Официальные прошивалки для Altera/Xilinx отлично работают в линуксе.

yax123 ★★★★★
()

1600 страниц

Если порезать ещё потоньше, можно и 16 000 страниц сделать.

Когда как в самом деле — 800.

SystemD-hater
()
Вы не можете добавлять комментарии в эту тему. Тема перемещена в архив.