LINUX.ORG.RU

Выпуск pcb-rnd 2.1.0 и основание экосистемы coralEDA

 , coraleda, , ,

Выпуск pcb-rnd 2.1.0 и основание экосистемы coralEDA

3

2

25 ноября (в соответствии с графиком) состоялся выпуск очередной версии свободного (GPL) редактора топологий печатных плат — pcb-rnd 2.1.0.

Проект основан в 2013 году Тибором «Igor2» Палинкасом в качестве форка gEDA pcb. Начав с небольших улучшений, за прошедшие пять лет реализовано огромное количество новых функций (в этом релизе изменения кодовой базы в сравнении с оригиналом достигли отметки в 75%) и заложен прочный фундамент для дальнейшего развития проекта.

Дополнительно объявлено о формировании UNIX-подобной экосистемы для совместного развития средств автоматизации проектирования электроники — coralEDA. К данной инициативе уже подключились несколько проектов, включая редактор схем Xschem, автор которого в новом выпуске своей программы провёл значительную работу над требуемой интеграцией. Текущая диаграмма связей между проектами выглядит следующим образом.

В новой версии pcb-rnd, помимо прочего, реализована полноценная поддержка пазов/прорезей и введены новые виды специальных слоёв для таких задач, как механическая обработка (фрезерование, скрайбирование), произвольные чертежи и документация.

Подробный список изменений:

  • Расширение модели слоёв печатной платы. Существовавший ранее специальный слой outline упразднён, вместо него введены опциональные слои механической обработки, с помощью которых можно формировать не только внешний контур, но и произвольные пазы и вырезы внутри платы.
  • Появилась возможность добавлять пользовательские слои для документирования и чертежей.
  • Новый плагин asm для помощи при ручном монтаже компонентов на печатной плате (см. скриншот). Поддерживается произвольная сортировка и группировка перечня компонентов на основе шаблонов.
  • Поддержка пазов и вырезов произвольной формы (с опциональной металлизацией) добавлена как составной элемент основного примитива — стопки контактных площадок (padstack), который является более гибким аналогом существующих в pcb переходных отверстий (via), выводов (pin) и контактных площадок (pad). При экспорте программа для фрезерования реализуется следующим набором RS274-команд:
    • G00 — перемещение в начальную точку
    • M15 — запуск шпинделя, плавная подача, начало фрезеровки
    • G01 — линейная интерполяция до конечной точки
    • M17 — окончание подпрограммы фрезеровки
    Данный метод применяется по умолчанию и является современным способом описания контуров фрезеровки, однако с ним могут возникнуть проблемы у некоторых заводов, предпочитающих устаревший вариант с применением циклов сверления G85. Pcb-rnd поддерживает оба варианта.
  • В стопках контактных площадок добавлено свойство hshadow (зазор вокруг отверстий, без меди), а также поддержка в UI параметра no shape (термобарьер без меди), настраиваемого индивидуально для каждого слоя.
  • Поддержка вращения текста на произвольный угол.
  • Новый атрибут текстового объекта — толщина линий шрифта.
  • Новое действие ScaleBuffer(), позволяющее произвольно масштабировать содержимое буфера.
  • trdraw: произвольные трансформации отрисовки при экспорте (например, «раздутие/сжатие»), доступны в плагине cam.
  • Новые диалоговые окна:
    • браузер применяемых в проекте стопок контактных площадок (с предварительным просмотром)
    • список предыдущих действий
    • управление скриптами fungw
  • Новый плагин ввода: поддержка загрузки печатной платы в формате DSN.
  • Значительное обновление документации — воскрешение справки по действиям (теперь генерируется автоматически), документация разработчика также существенно дополнена.

Бинарные сборки доступны в Debian, Ubuntu, Fedora, Mageia и Arch Linux (AUR). Пакеты с исходным кодом доступны на странице загрузок.

>>> Подробности

★★

Проверено: Shaman007 ()
Последнее исправление: Shaman007 (всего исправлений: 1)

объявлено о формировании UNIX-подобной экосистемы для совместного развития средств автоматизации проектирования электроники

Куча мелких программ, которые срут друг в друга текстом через пайпы?

DELIRIUM ☆☆☆☆☆
()
Ответ на: комментарий от DELIRIUM

ви так говоrите будто это что-то плохое

мало модных слов типа сериализация или там мессэдж-кьуингЪ?

mos ★★☆☆☆
()

Это просто ужасно. Состояние открытых EDA удручает. Обидно, что Autodesk испохабил Eagle.

И обмен информацией через текстовые файлы - это буквально прошлый век.

alexru ★★★★
()
Последнее исправление: alexru (всего исправлений: 1)
Ответ на: комментарий от alexru

Обидно, что Autodesk испохабил Eagle.

Который никогда не был открытым.

Состояние открытых EDA удручает.

Есть KiCad, в котором есть все, что нужно.

CYB3R ★★★★★
()
Ответ на: комментарий от CYB3R

Который никогда не был открытым.

Но по крайней мере он работал под Linux без проблем и не стоил как крыло от самолета.

Есть KiCad, в котором есть все, что нужно.

Ну да конечно.

alexru ★★★★
()

Чем лучше kicad'а ?

ncrmnt ★★★★★
()
Ответ на: комментарий от alexru

Но по крайней мере он работал под Linux без проблем и не стоил как крыло от самолета.

Да, с ограничением в 2 медных слоя и 10 на 10 см плату (если не меньше).

Ну да конечно.

Назови, что такого есть в Eagle, чего нет в KiCad.

CYB3R ★★★★★
()
Ответ на: комментарий от CYB3R

Да, с ограничением в 2 медных слоя и 10 на 10 см плату (если не меньше).

Полная версия стоила $500.

Назови, что такого есть в Eagle, чего нет в KiCad.

Нормальный UI и обмен информацией между схемой и платой не через жопу.

alexru ★★★★
()
Ответ на: комментарий от CYB3R

5 версию как только вышла.

Ничего нормального я там не заметил. Процесс перехода от схемы к плате по прежнему состоит из вывода Netlist-а и импорта его в PCB.

Но они хотя бы добавили возможность назначить корпус на этапе проектирования схемы. Уже прогресс.

alexru ★★★★
()

Как-то они синхронно с LibrePCB отрелизились.

AP ★★★★★
()

Заметил R в названии, подумал может плагин для реверса сделали... посмотрел почитал.... ну окей.

DR_SL ★★★★★
()

Ох боже, почему разработчики так пренебрежительно относятся к графическим интерфейсам в подобных программах! Это ведь самая важная часть.

SL_RU ★★★★
()
Ответ на: комментарий от alexru

И обмен информацией через текстовые файлы - это буквально прошлый век.

В EDA такой большой поток информации, что это вызывает боттлнеки?

Более того, «файлы» совсем не обязательно являются реальными файлами.

buddhist ★★★★★
()
Последнее исправление: buddhist (всего исправлений: 1)

Годная тема, пацаны, кто разбирается?

// но так-то сразу видно, что в этом ПО можно работать, выглядит как ПО так и не пойми сразу какого периода, например начала 90х или начала 2000х.

Bruce_Lee ★★
()
Последнее исправление: Bruce_Lee (всего исправлений: 1)
Ответ на: комментарий от buddhist

В EDA такой большой поток информации, что это вызывает боттлнеки?

Не в потоке дело. С таким подходом получить рассинхронизацию схемы и платы - минутное дело. Достаточно переименовать компоненты и все, приплыли.

Более того, «файлы» совсем не обязательно являются реальными файлами.

В KiCad в этом месте - являются. Без вариантов.

alexru ★★★★
()
Последнее исправление: alexru (всего исправлений: 2)
Ответ на: комментарий от SL_RU

Ох боже, почему разработчики так пренебрежительно относятся к графическим интерфейсам в подобных программах! Это ведь самая важная часть.

Графический интерфейс в подобных программах — самая неважная часть. Как и во всех остальных программах.

man «шашечки или ехать»

PS тебе никто не запрещает улучшить. Автор сабжа вон форкнул программу и улучшил. GPL для кого?

pihter ★★★★★
()
Ответ на: комментарий от alexru

И обмен информацией через текстовые файлы - это буквально прошлый век.

Ну пайпы — это не совсем текстовые файлы.

И эта, что прошловекового в UNIX-way? Чем обмен информацией через пайпы хуже чем (что там у тебя альтернатива? общая память?)

pihter ★★★★★
()
Ответ на: комментарий от CYB3R

Это GTK3 без темы?

Так не бывает. Там адвайта гвоздями прибита.

AP ★★★★★
()
Ответ на: комментарий от SL_RU

Потому что ты не пришёл и не сделал как надо :)

AP ★★★★★
()
Ответ на: комментарий от alexru

Ничего нормального я там не заметил. Процесс перехода от схемы к плате по прежнему состоит из вывода Netlist-а и импорта его в PCB.

вранье. Можно и так, конечно, но вообще eeschema и pcbnew через tcp-сокет общаются.

demidrol ★★★★★
()
Ответ на: комментарий от CYB3R

Там интерфейс для инопланетян. Если очень надо, то использовать можно, но зачем мне инструменты, от вида которых плакать хочется?

Deleted
()
Ответ на: комментарий от CYB3R

Почему скриншот выглядит так убого? Это GTK3 без темы?

Скрин автора, он большую часть времени даже иксы не запускает, так что спасибо, что хоть как-то «выглядит» :)

Это GTK2 HID (сменный гуй, есть два режима — gtk2_gdk и gtk2_gl). Поддерживается ещё lesstif (в pcb он жил своей жизнью, тут наметились усилия по унификации look'n'feel для всех гуёв с помощью единой системы диалоговых окон).

HID для GTK3 сейчас в неспешной разработке. Помимо гуйцов, разумеется, есть batch mode.

TwisteR ★★
() автор топика

фу-фу-фу

такие картинки так вставлять - моветон!

mumpster ★★★★★
()
Ответ на: комментарий от DELIRIUM

Что бы ты не говорил это быстро, надёжно, просто. Зачем усложнять?

anonymous
()
Ответ на: комментарий от DELIRIUM

Куча мелких программ, которые срут друг в друга текстом через пайпы?

Именно. А также сфокусированность на задаче (в проекте не будет комбайнов), минимальный (но продуктивный) UI, возможность работать на любом юниксе, легковесное ядро программы, дополняемое расширениями. Плюс к этому — чётко определённые и документированные внешние интерфейсы с поддержкой обратной совместимости (автор провёл небольшие археологические раскопки и удостоверился, что корректно импортируются даже первые проекты из начала 90х). Причём, в отличии от kicad, поддержка совместимости осуществляется в обе стороны, т.е. новые версии умеют писать старые файлы. При этом версия файла выбирается минимально необходимой версии для сохранения текущих фишек проекта. И в качестве бонуса — дружественная к diff сериализация файлов при сохранении.

Таким образом обеспечивается возможность взаимодействия в любых условиях.

TwisteR ★★
() автор топика
Ответ на: комментарий от panzerito

Какой смысл в edakrill?

Обмен пользовательскими техническими данными: библиотеками символов, посадочными местами для компонентов, скриптами.

TwisteR ★★
() автор топика
Ответ на: комментарий от CYB3R

Да в любой EDA похожий интерфейс. Приведи пример интерфейса не для инопланетян.

да это же хипстеры, они выше писали что в программе главное интерфейс и что юникс вей устарел и не нужен потому просто нельзя так делать программы, а итерефейс им нужен очевидно такой к которому они привыкли- твиттер? или чем там пользуются хипстеры?

anonymous
()
Ответ на: комментарий от TwisteR

Вот, спасибо за подробный ответ, остальные только говном кидаться могут.

DELIRIUM ☆☆☆☆☆
()

От себя добавлю, что все новые идеи, реализуемые в рамках этого проекта, отлично проходят испытание суровым продакшеном. У меня прямо сейчас в разработке плата с 1200 соединениями и несколькими сотнями компонентов. Многостраничная иерархическая принципиальная схема, являясь единым источником информации, предоставляет мне список посадочных мест и соединений, другой чувак на её основе получает СЛАУ для Mathematica, третий — поддерживает актуальный перечень компонентов для отдела закупок. Взаимодействие получается весьма удобным и продуктивным, все данные — в максимально тупом и удобоваримом виде (не требующем мутной логики для разбора/анализа), не возникает их дублирования (как следствие — нет и рассинхронизации).

TwisteR ★★
() автор топика
Ответ на: комментарий от TwisteR

Ха. Я тоже могу рассказать как дешифруется мой ник. Но как и в случае с KMFDM Kylie Minogue Fans Don't Masturbate или Kill Mother Fuckers Depeche Mode или Kidnap Madonna For Drug Money явно не верно

demrnd
()
Ответ на: комментарий от anonymous

Ну все можно понять, но неужели не мог аффтар риббон приделать? И еще что за сквиморфизм? Не думаю что это сложно сделать на обычном бутстрапе.

demrnd
()

Весёлая внутренняя кухня (чат), один из разрабов ковыряет стандарт, тщательно воспроизводит описанные там вещи и при этом постоянно нарывается на косяки с заводами, накидавшими своих костылей для обхода других костылей в дорогущих EDA, которые совершенно не парятся исправлять вековые несоответствия или внедрять новые вещи (например, выкинуть нафиг те-же циклы сверления там, где нужна фрезеровка)

Имена убрал, т.к. переписка с заводами непубличная:

<xxx> nice mail to $FAB!
<xxx> they have answered to my comments from a few days weekend too (maybe your mail triggered them)
<xxx> long story short:
<xxx> 1. there are tons of limited and/or broken EDA tools out there generating strange/broken gerbers/excellon
<xxx> 2. instead of trying to get them fixed, they implement a huge layer of heuristics that instead of taking for granted what the geber file really says go and guess things
<xxx> 3. which introduces non-standard, $FAB-specific behavior nobody else knows only them, which in turn will break with any n+1th tool that generates valid files
<xxx> so after learning about some of their heuristics, I wrote them about a few cases where it would break
<xxx> like placing a non-plated hole over a copper plate, which they would mistakenly take as plated, even tho it was in the unplated drill file
<xxx> and their answer: it's all fine, it breaks very rarely, and you can't draw such things with the «professional tools» anyway
<xxx> ... state of the industry
<xxx> guessing and manual processing hardwired in the transport because of weak file formats
<xxx> then comes ucamco with the latest gerber spec that tries to overcome this and pushes it hard, but industry is slow and also the format spec copyright is so ugly that even I don't want to use it
<xxx> we, at pcb-rnd, remove all hardwired limitations and assumptions
<xxx> you can draw whatever you want
<xxx> proprietary eda software very often have custom limitations, because «why would you want anything else»
<xxx> and the more I talk with users about these, the more I see strong stockholm-syndrome around these things
<xxx> they grow to love and defend these custom limitations

TwisteR ★★
() автор топика
Ответ на: комментарий от TwisteR

Вы хотиет сказать, что марсоходы не на C#? Даже не на TypeScript?

demrnd
()
Ответ на: комментарий от CYB3R

Именно так. Всё просто и ясно.

Когда мне будут платить $30 в час за разводку плат (никогда) - тогда я подумаю над тем, чтобы использовать что-то более продвинутое, а в пет-проектах я хочу использовать инструменты, ради которых не надо выворачивать свой предыдущий опыт наизнанку и страдать.

Deleted
()

А кроме gerber'a на выходе можно получить ODB++?

The ODB++ format is an open, ASCII file structure that was conceived by Valor, now Mentor, more than 15 years ago and has been accepted and proven at thousands of companies worldwide. You have total control of what content, if any, to exclude from the data package at compile time. In addition, the ODB++ Solutions Alliance program openly supports inclusion of ODB++ and updates for other EDA tool vendors, and is open to the entire PCB industry.

futurama ★★★★★
()

«Начав с небольших улучшений, за прошедшие пять лет реализовано»

это примерно то же, что «за окном шёл снег и рота красноармейцев».

Можно сказать «начав с небольших... он реализовал за следующие пять лет...». Или «после небольших улучшений (вначале), впоследствии было реализовано...» и т.д.

Ваш граммар наци.

anonymous
()
Ответ на: комментарий от gtk3

Странно, он у меня он вообще openmotif для сборки потребовал.

$ ./configure --help | grep tif
 --disable-xrender          do not use xrender for lesstif
 --disable-xinerama         do not use xinerama for lesstif
 --disable-hid_lesstif      do not compile the lesstif gui
 --buildin-hid_lesstif      static link the lesstif gui into the executable
 --plugin-hid_lesstif       the lesstif gui is a dynamic loadable plugin

:)

TwisteR ★★
() автор топика
Ответ на: комментарий от anonymous

Ваш граммар наци.

Действительно какое-то косноязычие получилось. Т.к. возможности изменить текст новости уже нет, буду лишь оправдываться, что русский — не мой родной языка...

TwisteR ★★
() автор топика
Вы не можете добавлять комментарии в эту тему. Тема перемещена в архив.